Search Results

Matches for your search: "fan-out wafer level packaging "

Silicon Austria Labs and EV Group Strengthen Collaboration in Optical Technology Research

Expanded collaboration includes installation of EVG’s LITHOSCALE® maskless exposure system, EVG®7300 UV-NIL system and complementary resist processing systems FLORIAN / GRAZ, Austria, November 13, 2023—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, and Silicon Austria Labs (SAL), Austria’s leading...

EV Group Achieves Die-to-Wafer Fusion and Hybrid Bonding Milestone with 100-Percent Die Transfer Yield on Multi-Die 3D System-on-a-Chip

Successful full-system die-to-wafer transfer at EVG’s Heterogeneous Integration Competence Center™ demonstrates an important step forward in process maturity EV Group (EVG), a leading provider of wafer bonding and lithography equipment for the MEMS, nanotechnology, and semiconductor markets, today announced it has achieved a major breakthrough in die-to-wafer (D2W) fusion and...

ERS electronic Introduces ProbeSense™ State-of-the-art Measurement Device for Automated Temperature Calibration in Wafer Test

ERS electronic, the industry leader in the market of thermal management solutions for semiconductor manufacturing, has developed a temperature calibration device that allows automatic and highly accurate measurements below 30mK in the actual probing area. The patent-pending device, aptly named ProbeSense™, consists of a temperature sensor, which is mounted in...

The People Who Make DBI Possible

A Conversation with the Xperi Hybrid Bonding Team In the world of heterogeneous integration, hybrid bonding — and in particular, Direct Bond Interconnect (DBI®) is quickly becoming the preferred permanent bonding path for forming high-density interconnects in a multitude of applications, from image sensors and MEMS devices, and most recently...

Moving Beyond the Merger and Onto Innovation

You may have noticed a newcomer to the 3D InCites community. But Onto Innovation is not a new company. It is the result of the 2019 merging of equals of two successful process control solutions providers who wanted to expand to serve the semiconductor manufacturing supply chain from end to...

Strategic Materials Conference Focuses on Complex Challenges

Materials have a major impact on reliability, performance, cost and other key parameters of electronic solutions. SEMI’s recent Strategic Materials Conference (SMC 2019) brought materials suppliers and their customers together to discuss progress made in these areas. They also addressed how to solve the increasingly divergent and complex challenges current...

IFTLE 418: Xperi DBI Ultra for D2W Hybrid Bonding

Requirements for interconnect pitches of 20µm and below is expected to be the norm in the future. Since typical solder bump technology is not expected to be able to meet those requirements, many companies have been examining Cu-to-Cu direct bonding. Cu-to-Cu bonding can be achieved through one of two ways:...

EV Group Joins IRT Nanoelec 3D Integration Program

ST. FLORIAN, Austria – Feb. 5, 2016 – EV Group (EVG), an industry-leading supplier of wafer-bonding, lithography/nanoimprint lithography (NIL), metrology, photoresist coating, cleaning and inspection equipment, today announced its participation in the 3D integration consortium of IRT Nanoelec, which is headed by CEA-Leti. EVG joins Leti, STMicroelectronics and Mentor Graphics...

Designing in 3D? Don’t Make These DFT Mistakes

The semiconductor industry hasn’t adopted 3D ICs as quickly as many in the industry expected. There are some barriers that perhaps have kept the cost/benefit analysis stuck in the ‘scaling’ camp rather than moving it to the ‘3D’ camp. However, many companies are preparing for the move to 2.5D and...