Search Results

Matches for your search: "SEMICON West"

SETNA: Atmospheric Plasma Surface Modification

This is part of a series of short interviews, based on face-to-face meetings at SEMICON West 2013. SET is known in the 3D IC world for its high accuracy die bonder for die-to-die and die-to-wafer stacking. The SET Representative in North America (SETNA) has also launched a companion tool, ONTOS7,...

Figure 2: Shows the photo of a 50 μm thin wafer after debond on a tape.

Dow Corning offers the Power of Silicone Technology

This is part of a series of short interviews, based on face-to-face meetings at SEMICON West 2013. After my interview with imec’s Ludo Deferm, I met up with Andrew Ho, global industry director, advanced semiconductor materials, for Dow Corning’s Electronic Solutions, to get an update on Dow Corning’s developments in...

TSV Inspection

NORDSON: Newcomers to 3D ICs

This is part of a series of short interviews, based on face-to-face meetings at SEMICON West 2013. As 3D ICs get closer to volume manufacturing, we’re seeing newcomers joining the 3D IC party with solutions that help along established process flows, and fill in gaps. Longtime suppliers to the mainstream...

It Takes an Ecosystem to Launch 2.5D and 3D Integration

As 2.5D and 3D IC technologies round the ten-year development mark and volume manufacturing keeps getting pushed just beyond our reach, I’m always looking for a new angle to cover while waiting for ‘The Big One’. As usual, SEMICON West provided the opportunity not only to listen to what invited...

2013 SUSS MicroTec Technology Forum Focuses on 3D TSV infrastructure

SUSS, being an equipment maker addressing various manufacturing challenges faced by 3D IC enablement, definitely has a lot riding on ensuring that all pieces of the 3D IC puzzle are in place. This was evident from the range of presenters they had lined up during this year’s Technology Forum, held...

Sum of Minds – imec Makes the Unexpected Happen

In her opening remarks at the 2013 imec International Technology Forum (ITF), held Monday, July 8, 2013,  Karen Savala, SEMI, said it best – this event, preceding SEMICON West, is a great way to set our mindset for the rest of the week. I couldn’t agree more.  What I have...

EV Group Pushes the Limits on 3D-IC Manufacturing for Next-Generation CMOS Image Sensory

Enhanced wafer alignment metrology capability coupled with market-leading GEMINI® FB system creates closed-loop fusion wafer bonding solution to enable high-density TSV devices St. Florian, Austria, July 8, 2013 — EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced...