Onto’s JetStep® lithography and Firefly® G3 inspection systems offer a powerful solution as the industry pursues glass core panel transition

Wilmington, Mass., July 9, 2024Onto Innovation Inc. (NYSE: ONTO) today announced Onto Innovation’s glass substrate suite featuring the JetStep® X500 panel-level packaging lithography system with hybrid substrate handling capabilities and the Firefly® G3 sub-micron automatic metrology and inspection system for panel-level packaging and advanced IC substrates (AICS). The JetStep X500 and Firefly G3 systems offer customers a complete panel-level packaging solution supporting heterogeneous integration (HI) chiplet packages for AI, high performance compute and cloud computing. The AICS market is expected to increase at a compound annual growth rate of 10% from 2023 to 2028, according to Prismark.

The AICS HI roadmap is rapidly approaching several new demarcation points, including the challenges for organic substrate redistribution layers (RDLs) using copper-clad laminate (CCL) below 3µm. These challenges are prompting manufacturers to adopt more stable substrates such as glass. The necessity for manufacturers to handle both large glass core panels, as well as the existing mature CCL-based organic substrates, opens new market opportunities for lithography tools capable of handling both substrate types.

“The hybrid substrate handling capabilities of the JetStep X500 system demonstrate Onto Innovation’s commitment to continually improving our portfolio of panel-level products to meet cutting-edge technological advances,” says Jason Robinson, vice president and general manager of Onto’s lithography business. “With the capability for sub-1.5µm l/s RDL resolution and the ability to expose panel areas of up to 250mm x 250mm in one shot, the JetStep series delivers an advanced packaging solution that meets the needs of today’s organic substrates and the glass substrates powering the next evolution in highly advanced HI packages.”

In addition to evolving lithographic requirements, the production of glass substrates generates several significant process control challenges attributed to cracks and chips and as a result of handling, through glass via (TGV) formation and the Cu plating process. Customers require 3D metrology solutions focused on TGVs, such as the need to measure via-to-via distance and via diameter for the top, waist, and bottom.

Using a combination of different illumination techniques, including Onto’s patented Clearfield® technology, the Firefly G3 system has emerged as a successful inspection tool for organic panels. By applying these same techniques to glass panels, Onto expands upon the Firefly G3 system’s previous success by delivering a uniquely designed inspection solution for bare and patterned glass substrates. Capable of identifying cracks and chips, the Firefly G3 system can also perform TGV metrology for vias, including finding the X and Y displacements of TGVs from their nominal positions in a matter of minutes. The system also can inspect for defects like dimples, which are formed during etching. Using the Firefly G3 system and its unique 3D metrology sensors for dielectric thickness measurements, customers are enabled to achieve proper copper plating height uniformity for RDLs.

“The Firefly G3 system is capable of supporting a range of panels and substrate types with sensitivity below 1µm, making it an extremely versatile investment for our customers,” says Mayson Brooks, vice president and general manager of Onto’s inspection business. “That versatility has led to a broad range of customers selecting the Firefly G3 system, along with the JetStep X500, for their next expansions, further positioning Onto Innovation for continued growth in the advanced packaging panel-level packaging market.”

To learn more about Onto’s glass panel suite, visit our booth #633 at SEMICON® West, contact us or reach out to your local sales team

About Onto Innovation Inc.

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain combined with our connected thinking approach results in a unique perspective to help solve our customers’ most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. With headquarters and manufacturing in the U.S., Onto Innovation supports customers with a worldwide sales and service organization. Additional information can be found at www.ontoinnovation.com.

Onto Innovation

onto Innovation

Onto Innovation stands alone in process control with our unique perspective across the semiconductor value chain.…

View Onto Innovation's posts

Become a Member

Media Kit

Login