July Member News

July is always an exciting month for the semiconductor industry thanks to SEMICON West. July Member News reflects the significant progress our members made in sustainability, industry expansion, technology innovation, workforce development, and more. Achievements include but are not limited to advancements in emissions reduction targets and new technologies enhancing precision and performance. In addition, they introduced cutting-edge developments in packaging and testing and were recognized for excellence with industry awards. Here is what our members have been up to this month…

Sustainability

Amkor Technology, Inc. announced that the Science Based Targets initiative (SBTi) had verified its emissions reduction targets. Amkor committed to net-zero greenhouse gas (GHG) emissions by 2050, with near-term goals of reducing Scope 1 and 2 GHG emissions by 55% by 2033 and ensuring 80% of its suppliers have science-based targets by 2028. Long-term targets included reducing Scope 1 and 2 GHG emissions by 90% and Scope 3 emissions by 97% per million USD value added by 2050. 

Amkor also signed a Memorandum of Understanding (MOU) with Infineon to enhance sustainability across their supply chain. The collaboration will focus on decarbonization strategies, identifying emissions reduction opportunities, and supporting science-based target setting among common suppliers. This partnership aims to drive meaningful environmental improvements in the semiconductor industry and promote greener practices throughout the supply network. 

SEMI and The New York Center for Research signed an MOU to advance sustainable practices in the semiconductor industry. The agreement will support joint research on environmentally friendly strategies, including PFAS abatement. The partnership aims to integrate sustainability into semiconductor technology and address challenges like greenhouse gas reduction. This collaboration aligns with the U.S. CHIPS and Science Act and will drive innovations in sustainable semiconductor manufacturing.

Technology Innovation

Lam Research and TechArena  published a white paper entitled, “The Road to the AI Era Is Paved in Semiconductor Manufacturing Innovation.” This paper explored the vital role of chipmaking in advancing technology. It covered the impact of generative AI, challenges in performance, and the importance of high bandwidth memory (HBM) in AI platforms. The paper also highlighted strategic collaborations, chiplet-based processing solutions, and advancements in 2.5D and 3D packaging technologies. 

YES announced the release of its TersOnus TGV tool for panel-level manufacturing, supporting advanced packaging for AI chips in large language models. The system offers superior quality and cost efficiency, enabling high aspect ratio through glass via (TGV) etching for various configurations and sub-50 μm vias. Used by top semiconductor manufacturers for 2.5D and 3D packages, it supports the industry’s shift to glass-based substrates for chiplet-based architectures. 

Onto Innovation Inc. announced its new glass substrate suite, featuring the JetStep® X500 lithography system and the Firefly® G3 metrology and inspection system. The JetStep X500, with hybrid substrate handling, supports panel-level packaging for AI and high-performance computing, while the Firefly G3 offers advanced inspection and metrology for panel-level and IC substrates. The suite addresses the growing AICS market, which is expected to grow at 10% CAGR from 2023 to 2028. The JetStep X500 handles both glass and organic substrates, while the Firefly G3 uses Onto’s Clearfield® technology to inspect and measure TGVs and detect defects in glass panels. 

Indium Corporation launched a high-reliability Au-based Precision Die-Attach (PDA) Preforms, designed to enhance precision, reduce defects, and control bond line thickness (BLT) in die-attach applications. These gold-based preforms are ideal for semiconductor laser die-attach processes, offering superior accuracy, edge quality, and cleanliness, and are available in various gold-based alloys. They help improve yield performance, thermal transfer, and device reliability, especially for critical applications in laser, RF, and 5G communications. The preforms come in primary and development alloys, with packaging designed to meet specific production needs.

Multibeam Corp. announced the sale of the first MB platform, a first-of-a-kind Multicolumn E-Beam Lithography (MEBL) system built for mass production. The fully automated precision-patterning system will be used for rapid prototyping, advanced packaging, high-mix production, chip ID, compound semiconductors, and other applications. SkyWater Technology will use the system for early concept prototyping and rapid production of microchips.

Industry Expansion

Amkor Technology signed a Preliminary Memorandum of Terms with the U.S. Department of Commerce for up to $400 million in CHIPS Act funding to support a $2 billion investment in a new advanced packaging and test facility in Peoria, Arizona. The agreement includes up to $400 million in direct funding and access to $200 million in proposed loans. Amkor will also leverage the U.S. Department of the Treasury’s Investment Tax Credit, potentially up to 25% of qualified capital expenditures. The facility is expected to create approximately 2,000 jobs and strengthen the U.S. semiconductor ecosystem by enhancing domestic advanced packaging capabilities. Partnerships with local educational institutions will support talent development.

Nordson Electronics Solutions consolidated its Nordson B.V. division into one site in Valkenswaard, The Netherlands. Multiple sites in Deurne and Maastricht, The Netherlands, and Hagenbach, Germany were integrated into a central, updated facility to meet rising European customer demand for the company’s complete range of solutions. The facility features a demonstration lab with the latest equipment from the three product lines, ASYMTEK, MARCH, and SELECT. These machines deliver conformal coating, fluid dispensing, plasma treatment, and selective soldering used during semiconductor packaging, printed circuit board assembly, and other processes in electronics manufacturing. This type of equipment is important to customers, and it is backed by the European Chips Act (ECA) to boost resilience in the region.

ASE announced that its fully-owned subsidiary, ISE Labs, Inc. is broadening customer access to its world-class capabilities with the opening of a second U.S. facility, located in San Jose, California. Together, the Fremont and San Jose sites will double ISE’s available R&D lab space, reinforcing the company’s commitment to Silicon Valley while expanding its North American footprint and helping to strengthen the U.S. semiconductor supply chain.

Namics, KLA, and Kulicke & Soffa partnered with Resonac to establish the next-generation semiconductor packaging R&D consortium “US-JOINT” in Silicon Valley, USA, consisting of 10 Japanese and American companies. In next-generation semiconductors for generative AI and autonomous driving, packaging technology is crucial. US-JOINT will closely collaborate with major IT companies and semiconductor manufacturers concentrated in Silicon Valley to verify the latest concepts and accelerate technology development in response to market needs.

Industry Recognition

EV Group (EVG) was voted one of the 10 BEST Focused Suppliers of Semiconductor Making Equipment and one of the 2024 BEST Suppliers of Fab Equipment in the 2024 TechInsights Customer Satisfaction Survey, achieving first place in both segments for the first time. EVG received five first-place awards, marking the 12th consecutive year it received all three customer satisfaction awards. Recognized for excellence in partnering, trust, and technical leadership, EVG also earned top rankings in lithography and specialty fab equipment. This year marked the 22nd consecutive year EVG was listed among the BEST Suppliers of Fab Equipment and the 12th year as the highest-ranked wafer bonder supplier. 

EVG also received this year’s SEMI “Best of West” Award for its groundbreaking EVG®880 LayerRelease™ System. The award was handed over by Semiconductor Digest’s Editor-in-Chief Peter Singer to Executive Sales & Customer Support Director Hermann Waltl at the recent show in San Francisco. 

Lam Research’s Equipment Intelligence® won the 2024 Product of the Year Award at the Best of Sensors Award for advancements in  AI and machine learning. Michelle Bourke, Managing Director of Strategic Marketing and Specialty Technologies at Lam Research accepted the award on behalf of her colleagues. 

Brewer Science was recognized as a 2024 National Top Workplace in the Manufacturing Industry. This award, based on employee feedback, highlights their commitment to a supportive work environment and work-life balance. They highlighted the work of their team for making this achievement possible in their posted announcement.  

Adeia Inc. was awarded Best Session Paper at the 2024 Electronic Components and Technology Conference (ECTC) for Dr. Thomas Workman’s paper on, “Fine Pitch Die-to-Wafer Hybrid Bonding.” The paper discusses implementing hybrid bonding technology in high-volume manufacturing processes, addressing parameters, limitations, and yield considerations. As hybrid bonding gains popularity in advanced packaging, it enhances performance and scalability by integrating multiple semiconductor components into a single module with improved chip-to-chip interconnects. This technology increases interconnect density, leading to faster processing, reduced energy consumption, and better thermal connectivity.

Workforce Development

3D InCites and IMAPS Microelectronics Foundation announced the inaugural 3D InCites Community Career Fair, aiming to connect job seekers in microelectronics and advanced packaging with leading companies. Open to all, the fair will be held at the IMAPS International Symposium 2024 at the Encore Boston Harbor in Everett, MA, on October 1, 2024, from 4-6 pm. Addressing the semiconductor industry’s significant talent gap, highlighted in a recent SIA and Oxford Economics report, the event seeks to help fill the expected 67,000 unfilled jobs by 2030. The fair, featuring networking opportunities and refreshments, will support the 3D InCites DEI Scholarship Fund. 

Onto Innovation highlighted its partnership with Northeastern University College of Engineering which provides students opportunities to gain knowledge and experience to advance in their career field.   

NHanced Semiconductors and ARI (Applied Research Institute), recently presented on the importance of the semiconductor industry and gave a tour of their facility to the high school students in the Ivy Tech Community College Bloomington Semiconductor Summer Session! They highlighted how these dedicated efforts have made a lasting impact on the students, inspiring the next generation of innovators. 

Events

SEMI announced its first Midwestern U.S. event, SEMIEXPO In The Heartland, debuting on April 1-2, 2025, at the Indiana Convention Center in Indianapolis. This new annual event will focus on smart manufacturing tools, technologies, and methods for semiconductor creation, and will bring together stakeholders from the semiconductor, sensor, and mobility sectors to address technical and supply chain issues. The event aims to foster collaboration in automotive electronics and smart manufacturing, contributing to the semiconductor industry’s growth. 

SEMICON Southeast Asia, the premier electronics manufacturing and supply chain event, will celebrate its 30th anniversary in Singapore in 2025. Scheduled for May 20-22, 2025, at Sands Expo & Convention Centre, the event is expected to attract over 18,000 delegates globally. Themed “Stronger Together,” SEMICON Southeast Asia 2025 will focus on industry advancements in sustainability, AI, chiplet technology, and workforce development.

Evatec hosted its annual BBQ party for its employees, this year they included a 20th-anniversary celebration at the gathering. They reportedly enjoyed delicious food from the barbecue and refreshing drinks in the bright sunshine. A highlight of the event was the “courage to wear a hat” theme. Employees were creative and proudly displayed their unusual hats, from classic to extravagant. Additionally, their colleagues from Evatec Europe in Germany joined them, making the celebration even more memorable. 

Promotions and Career Opportunities

Kiterocket welcomed Kate Merrill, to its business team. Kate is from Santa Barbara, CA, and recently graduated from the University of Oregon with a Bachelor of Science in Public Relations and a minor in Psychology.

Kiterocket also introduced Cassidy Rue, its new Social Media Manager! Cassidy is passionate about crafting content that inspires and educates, diving into data analysis, and exploring new platforms.

QP Technologies, a division of Promex Industries announced key changes to its sales & marketing organizations. Rosie Medina has been promoted to senior VP of sales and marketing, for Promex, and Matt Hansen has been promoted to VP of sales and marketing, for QP Tech. The changes are intended to allow each company to tighten its focus on its core competencies: complex microelectronics assembly for Promex and quick turn prototype and small-volume packaging and assembly for QP Tech.

Evatec, a global leader in thin film deposition equipment for Semiconductors, Advanced Packaging, Optoelectronics, and Photonics, is seeking an inspiring and innovative Chief Operating Officer (COO) to join its Executive Board at its head office in Trübbach. 


Members, don’t see your news here? We do our best, but sometimes we miss stuff.  If you want your news to be included in the monthly wrap-up, tag me, Avery Gerber, on Linkedin. 

Avery Gerber

Avery Gerber is a 3D InCites Community Intern. Currently pursuing a degree in International Studies…

View Avery's posts

AI and VLSI

Jul 16, 2024

What do oxide transistors, ferroelectrics, 2-dimensional channel layers, CFETS, Advanced...

Become a Member

Media Kit

Login