Pioneer in hybrid bonding

Adeia Inc., a leading R&D and intellectual property (IP) licensing company known for bringing leading innovations in the semiconductor and media/entertainment technology sectors to market, announced recent developments in hybrid bonding, a technology targeted toward the future of semiconductor packaging enabling high-performance computing capabilities, like those required for AI.

The pioneer in hybrid bonding, the company has experienced encouraging continued interest after its 2023 announcement that Kioxia Corporation and Western Digital, world leaders in flash memory and solid-state drives, entered into a long-term agreement to license Adeia’s semiconductor patent portfolio, including those relating to hybrid bonding.

Hybrid bonding is an advanced semiconductor packaging technology that enables the integration of different functional elements, such as logic, memory, and sensors, into compact and high-performance systems. It involves the use of a direct bond interconnect (DBI®) process to connect different semiconductor components, resulting in improved electrical and thermal performance.

Noted Publications and Speaking Roles

In early 2024, Adeia’s Semiconductor team gave a tutorial, “Design Considerations for Hybrid-Bonded Chiplets” and participated in a panel “Best Packaging for Chiplets Today”, at the Chiplet Summit. They also contributed a paper, “Surface Metrology and Defect Characterization for Hybrid Bonding” and presented it at the International Microelectronics Assembly and Packaging Society, Device Packaging Conference 2024.

Continued Media Interest as the Ecosystem Adapts

Technology media continued to seek out the views of Laura Mirkarimi, SVP – Head of SEMI Engineering through interviews in 3DinCities and a forthcoming book that was reviewed entitled,  Hybrid Bonding: The Time has Come – 3D InCites.

Pioneer in Hybrid Bonding
Laura Mirkarimi, SVP – Head of SEMI Engineering displays the award her team won for the Best Session paper.

Award for Thought Leadership as a Pioneer in Hybrid Bonding

Academics on Adeia’s semiconductor team, have also been awarded “Best Session Paper” at ECTC 2024 for their article, “Fine Pitch Die-to-Wafer Hybrid Bonding” which discussed the root cause mechanisms of yield loss.

Outside Expectations for Market Growth

Adeia has been improving and expanding hybrid bonding technology for almost a decade following its acquisition of Ziptronix, one of the founders of hybrid bonding. The future appears promising due to its numerous advantages. The technology allows for the integration of chips, reducing the device size and enabling the development of smaller and more powerful electronic devices. The direct bond interconnect improves electrical and thermal conductivity, enabling faster and more efficient data transfer while reducing power consumption.

Hybrid bonding offers greater flexibility in system design, allowing for customization and optimization of various chip functionalities and process nodes. This versatility applies to a wide range of uses, including smartphones, wearables, high-performance computing, Internet of Things (IoT) devices, and autonomous vehicles.

The market is witnessing significant growth due to the insatiable demand for higher-density interconnects, for massive bandwidth to enable AI and edge computing. “This revolutionary interconnect allows chips manufactured separately to be joined seamlessly with improved function over traditional monolithically prepared circuits”, said Laura Mirkarimi, SVP of Engineering. Pervasive heterogeneous integration is expected to continue for years.

 

Adeia

Our fundamental innovations shape the way millions of people explore and experience entertainment and enhance…

View Adeia's posts

Become a Member

Media Kit

Login